Topic: Issues with External Clock Input  (Read 4295 times)

Avdbyl May 20, 2014, 05:33 AM

  • Member
  • *
  • Posts: 2
Hi all,
[/size]
[/size]I hope someone can shed some light for me! I am working on an FMC150 board, and need to supply an external clock to run the ADC. My question relates to the settings (I have used the CDCE72010 datasheet, and the CDCE72010 Control GUI application for reference when determining the configuration words for the device).
[/size]
[/size]So far, I have had no luck in getting a clock into the ADC when using the External Clock input. I have included the configuration words below for each register in the CDCE72010 (they are written to the CDCE72010 by an FPGA – I have verified the writing process is correct, as changing configurations e.g. output division, enable/disable of the VCXO etc. is successful). Since the system configuration is simple (clock input to the FMC card; OUT_MUX to AUX IN (to output dividers); Output 2 divider enabled (div by 1 in this case); and output 2 buffer type set to LVPECL. If I configure for this, and supply an appropriate clock source (an Agilent signal generator at 175MHz at 3dBm into 50 Ohms), I get no response from the ADC. What could be the problem?
[/size]
[/size]Thanks,
[/size]Andrew
[/size]
[/size]Configuration Words:
[/size]683C0250, 
[/size]68000271,
[/size]83400002,
[/size]68000003,
[/size]E9800004,
[/size]68000005,
[/size]68000006,
[/size]83400007,
[/size]680001D8,
[/size]680500C9,
[/size]05FC270A,
[/size]8200040B,
[/size]60009B0C;
[/size]

arnaudNL May 20, 2014, 06:00 AM (#1)

  • 4DSP Staff (EU)
  • Administrator
  • Member
  • *****
  • Posts: 7110
Dear Sir,


The reference software application is able to run the FMC150 with external clock mode.


Please refer to this application to understand the settings required for this mode of operation.


Best Regards,
Arnaud

arnaudNL June 13, 2014, 08:00 AM (#2)

  • 4DSP Staff (EU)
  • Administrator
  • Member
  • *****
  • Posts: 7110
This topic is being closed because the issue is considered as resolved by 4DSP. Feel free to create a new topic for any further inquiries.