Stellar IP "Library -> Star Editor -> Generate HDL Skeleton (No registers)" tool would generate erroneous HDL entity declaration code for some of the sip: when listing worm holes, it would omit ";" sign at the end of next-to-last wormhole, and leave ";" sign at the end of last one. Here's an example:
-------------------------------------------------------------------------------------
--Entity Declaration
-------------------------------------------------------------------------------------
entity sip_axis_32b_in2wh_out is
port (
--Wormhole 'clk' of type 'cmdclk_in':
clk_cmdclk : in std_logic;
--Wormhole 'rst' of type 'rst_in':
rst_rstin : in std_logic_vector(31 downto 0);
--Wormhole 'data_in' of type 'axis_32b_in':
data_in_tdata : in std_logic_vector(31 downto 0);
data_in_tkeep : out std_logic_vector(3 downto 0);
data_in_tlast : in std_logic;
data_in_tready : out std_logic;
data_in_tstrb : out std_logic;
data_in_tuser : in std_logic_vector(31 downto 0);
data_in_tvalid : in std_logic
--Wormhole 'data_out' of type 'wh_out':
data_out_out_stop : in std_logic;
data_out_out_dval : out std_logic;
data_out_out_data : out std_logic_vector(63 downto 0);
);
end entity sip_axis_32b_in2wh_out;
How can fix this tool?
Stellar IP v. 1.1.3.0