Topic: DAC (1) issue in FMC110  (Read 12310 times)

kanzabaig January 16, 2015, 02:43 AM

  • Member
  • *
  • Posts: 14
With reference to the thread : http://www.4dsp.com/forum/index.php?topic=3425.0
I am facing the similar kind of an issue. DAC0 is working perfectly fine but DAC1 shows erratic behaviour. The reconfiguration sometimes resolve the issue but mostly it does not.
Kindly suggest some changes in the configuration file or something else to resolve the issue.
The image taken from the oscilloscope is provided below,
 

lmunoz January 19, 2015, 08:37 PM (#1)

  • Member
  • *
  • Posts: 160
Hi,

What carrier board are you using?  Are you running the reference software and firmware provided by 4DSP without any modifications and internal clock?  I will try to replicate the problem here.

The forum post you linked to looks like an issue with the ADC because he is talking about the delays/calibration of each bit which is done on the ADC not the DAC. 

You are connecting the DAC directly to oscilloscope when you see the problem, is that correct?



-Luis
  • « Last Edit: January 19, 2015, 08:46 PM by lmunoz »

arnaudNL January 21, 2015, 06:32 AM (#2)

  • 4DSP Staff (EU)
  • Administrator
  • Member
  • *****
  • Posts: 7110
Dear Sir,


Can you please update us?


Best Regards,
Arnaud

kanzabaig January 22, 2015, 02:29 AM (#3)

  • Member
  • *
  • Posts: 14
I made some changes in the FMC110 core. I was trying to check its configuration registers, so i probed them out and added a reset pin. Before that it was working perfectly fine. I tried running the default program with default fmc110 core and it works well. I believe when you disturb the core the output of DAC is disturbed
  • « Last Edit: January 23, 2015, 02:07 AM by kanzabaig »

kanzabaig January 22, 2015, 02:33 AM (#4)

  • Member
  • *
  • Posts: 14
My issue is that I want to check weither the core is configured or not, if its configured then I will start writing data onto the DAC port. How can I achieve it without disturbing the output of the core??

arnaudNL January 22, 2015, 08:18 AM (#5)

  • 4DSP Staff (EU)
  • Administrator
  • Member
  • *****
  • Posts: 7110
Dear Sir,


Sorry, I am not sure to understand the question? Are you asking us how to modify your code so it works like the reference design? You have the source code of a working reference design so modifying that is possible.


Best Regards,
Arnaud

kanzabaig January 23, 2015, 02:21 AM (#6)

  • Member
  • *
  • Posts: 14
I explain the steps that I followed.
* I was using the reference design for fmc110 and configuring the card via ethernet (from PC), it was working fine.
* In next step I started configuring card via pcap file (TCP replay method), still it worked fine.
* In third step I made changes in fmc110 core i.e probed out some clocks into main module, yet it was working well for some time. But with the same code suddenly the output of Channel C is disturbed. I am not sure if it is because i am using a pcap file for configuring the FMC110 card or this happened due to changes in the reference design.
Every time output of channel C is disturbed. When I rerun the pcap file, sometimes it does become better but other times it does not.


Is there a problem with the card? or configuration methods?


I am monitoring the output on Oscilloscope and Channel-C output is not correct.
  • « Last Edit: January 23, 2015, 02:23 AM by kanzabaig »

arnaudNL January 23, 2015, 06:20 AM (#7)

  • 4DSP Staff (EU)
  • Administrator
  • Member
  • *****
  • Posts: 7110
Dear Sir,


Thank you for the extra information. It could be the hardware and there is only one way to make sure about that. Could you try to run the default reference design? That will for sure give some answers already.


Thanks,
Arnaud

arnaudNL January 26, 2015, 06:01 AM (#8)

  • 4DSP Staff (EU)
  • Administrator
  • Member
  • *****
  • Posts: 7110
Dear Sir,


Please don't forget to update us.


Best Regards,
Arnaud

arnaudNL January 27, 2015, 10:53 AM (#9)

  • 4DSP Staff (EU)
  • Administrator
  • Member
  • *****
  • Posts: 7110
Dear Sir,


Can you please update us? I will need to close the topic in 24 hours if I do not get any news from you.


Best Regards,
Arnaud

arnaudNL January 28, 2015, 12:24 PM (#10)

  • 4DSP Staff (EU)
  • Administrator
  • Member
  • *****
  • Posts: 7110
This topic is being closed because the issue is considered as resolved by 4DSP. Feel free to create a new topic for any further inquiries.