Topic: External clock limits and external ref detection  (Read 7851 times)

Jonathan November 13, 2014, 08:13 PM

  • Member
  • *
  • Posts: 1
Hi,


I have a FMC230 and was interested in using a DAC clock frequency of 2.64 Ghz.  Using the on board reference of 30.72 Mhz, I cannot get to exactly 2.64 Ghz.  This leaves me with two choices:


1. Use an external reference of 10 Mhz (this will allow the AD9517-1 to generate exactly 2.64 Ghz with the right settings).


Question: Is the external reference auto sensing?  If I plug in a 10 Mhz ref, do I need to do any board mods or change a setting on the AD9517 to see the new ref (I will of course send new divider settings for my desired frequency)?


2. Use an external device clock of 2.64 Ghz.
The FMC230 user guide indicates that the board will pass an external clock of up to 3.0 Ghz, but according to it's datasheet the AD9517-1 can only accept an external clock of up to 2.5 Ghz.


Is 2.5 Ghz the limit for the external device clock input?


Additional question: Are board schematics available for the FMC230?


Thanks!



lmunoz November 14, 2014, 01:26 PM (#1)

  • Member
  • *
  • Posts: 160
Hi,

No mods are needed you just change the settings on the AD9517. The FMC230APP gives an example on how to do this, the fourth parameter to the application is called modeClock which can be

CLKSRC_INTERNAL_CLK_INTERNAL_REF = 0         
CLKSRC_EXTERNAL_CLK = 1                   
CLKSRC_INTERNAL_CLK_EXTERNAL_REF = 2           

Tracing modeClock variable through the program will let you know what register writes need to be done for the mode you are interested in.



We do not give out schematics. The FMC230 user manual is correct, you can use external clocking of  2.64MHz even though the datasheet says you cannot, this has been tested and we confirmed it with Analog Devices. The datasheet says that to comply with the LVPECL standard.

Regards,
Luis

arnaudNL November 17, 2014, 08:14 AM (#2)

  • 4DSP Staff (EU)
  • Administrator
  • Member
  • *****
  • Posts: 7110
This topic is being closed because the issue is considered as resolved by 4DSP. Feel free to create a new topic for any further inquiries.