Topic: Adding Registers to sip_fmc30rf  (Read 7304 times)

kcfarleyswmacb October 21, 2013, 11:31 AM

  • Member
  • *
  • Posts: 45
4DSP Support:
 
   I am using sip_fmc30rf with my ml605/fmc30rf combo and would like to add registers to the star and the visual studion board support visual C++ software. How do I do this? What are the steps and the files required to do this?  Is there an example and documentation to do this?

Kyu October 21, 2013, 04:40 PM (#1)

  • Member
  • *
  • Posts: 154

Here are the quick steps. 4FM Getting Started Guide will guide you how to use the 4FM Gui application.


1. Open the 4FM Gui Control Application
2. In "Star Editor" tap, add a new star or find the star that you want to modify.
3. For creating a new star, constitute wormholes, set the number registers and generate HDL skeleton. It will generate 3 files. If you check "Include Register Code", 2 more files will be generated.
 
*.vhd is a top vhdl file for the star that has IO ports and generic addresses.
*.nfo has the Star ID and version.
*.lst is a list of files that StellarIP reads while generating ISE project.
*_stellar_regs.vhd and *.stellar_cmd.vhd have a Stellar IP command design that fulfills a read/write commands through the Stellar IP interface.


For modifing the existing star, change the number of registers and save it.
Updated star information will be stored in "Frimware installation folder/star_lib/library.xml"


4. SteallarIP tool reads the information in "library.xml" and allocates the registers to each star while generating the ISE project.
5. In the reference software, the star register address can be found "cid_getstaroffset()". This reads the Star ID from *.nfo and returns its start address.
6. You can write and read the registers calling sipif_writesipreg() and sipif_readsipreg() functions with base register addresses.


Please refer the vhdl source codes and reference software how it designs the registers and calls the write/read register functions.


Thanks,
Kyu